Nwlapcug.com


Come convertire binario Mux a uno caldo

Linguaggio binario viene utilizzato nei computer di programmazione per creare software o applicazioni di codice per Visual Basic per Windows e il sistema operativo Windows. Vettori binari possono avere valori multipli che possono essere confusa e portare a codifica diverse finestre sul tuo computer. Se si desidera convertire il tuo binari vettori in un set di input caldo, è possibile farlo con un codice tramite il computer terminale o prompt dei comandi. Una volta implementato, sarà in grado di convertire il tuo mux vettore binario in una hot line.

Istruzioni

1

Aprire il terminale o prompt dei comandi attraverso l'elenco di "Programmi" sul pulsante "Start".

2

Tipo:

libreria ieee;
utilizzare ieee.std_logic_1164.all;
utilizzare ieee.numeric_std.all;

entità onehot_binary è
Porto (onehot_in: in std_logic_vector;

binary_out : out std_logic_vector );

iniziare

assert 2**binary_out'length = onehot_in'length severity failure;

fine;

architettura rtl di onehot_binary è

Function one_hot_to_binary (

One_Hot : std_logic_vector ;
size : natural

) di ritorno è std_logic_vector

variable Bin_Vec_Var : std_logic_vector(size-1 downto 0);

iniziare

Bin_Vec_Var := (others => '0');

for I in One_Hot'range loop
if One_Hot(I) = '1' then
Bin_Vec_Var := Bin_Vec_Var or std_logic_vector(to_unsigned(I,size));
end if;
end loop;
return Bin_Vec_Var;

funzione fine;

iniziare

binary_out < = one_hot_to_binary (onehot_in, binary_out' lunghezza);

fine;

3

Premere il pulsante "Enter" per sostituire il binario MUX sul sistema all'ingresso di una pista calda.

Consigli & Avvertenze

  • Il std_logic_1164.all; riga di codice sostituisce i file binari sul computer di uno.